Hostname: page-component-7bb8b95d7b-pwrkn Total loading time: 0 Render date: 2024-09-18T08:41:10.017Z Has data issue: false hasContentIssue false

Grain structure effect on electromigration reliability of Cu interconnects with CoWP capping

Published online by Cambridge University Press:  11 October 2011

Lijuan Zhang*
Affiliation:
Laboratory for Interconnect and Packaging, Microelectronics Research Center PRC/MER, The University of Texas at Austin, Austin, Texas 78712
Paul S. Ho
Affiliation:
Laboratory for Interconnect and Packaging, Microelectronics Research Center PRC/MER, The University of Texas at Austin, Austin, Texas 78712
Oliver Aubel
Affiliation:
GLOBALFOUNDRIES Dresden Module One LLC & Co. KG, 01109 Dresden, Germany
Christian Hennesthal
Affiliation:
GLOBALFOUNDRIES Dresden Module One LLC & Co. KG, 01109 Dresden, Germany
Ehrenfried Zschech
Affiliation:
Fraunhofer Institute for Non-Destructive Testing IZFP, Dresden, Germany
*
a)Address all correspondence to this author. e-mail: lijuanzh@us.ibm.com
Get access

Abstract

This article investigates the effect of grain structure on electromigration (EM) reliability of dual-damascene Cu interconnects with a CoWP capping layer, including the lifetime and statistics. Downstream EM tests were performed on two sets of CoWP-capped Cu interconnects with different grain sizes. Compared to Cu interconnects with the standard SiCN cap layer, the CoWP capping clearly improved the EM lifetime by ∼24× for the small grain structure and by another ∼14× for the large grain structure. Here, the effect of grain structure on EM lifetime was attributed to the grain boundary contribution to mass transport. The lifetime improvement, however, was accompanied with an increase in the statistical deviation, increasing from 0.27 for the SiCN cap to 0.53 for the small grain structure and to 0.88 for the large grain structure with the CoWP cap. This was attributed to the effect of grain structure in changing the statistical distribution of flux divergence sites and thus the failure statistics.

Type
Articles
Copyright
Copyright © Materials Research Society 2011

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

1.Hu, C.K., Rosenberg, R., and Lee, K.Y.: Electromigration path in Cu thin-film lines. Appl. Phys. Lett. 74, 2945 (1999).CrossRefGoogle Scholar
2.Hu, C.K., Gignac, L., and Rosenberg, R.: Electromigration of Cu/low-dielectric constant interconnects. Microelectron. Reliab. 46, 213 (2006).CrossRefGoogle Scholar
3.Hu, C.K., Gignac, L., Rosenberg, R., Liniger, E., Rubino, J., Sambucetti, C., Domenicucci, A., Chen, X., and Stamper, A.K.: Reduced electromigration of Cu wires by surface coating. Appl. Phys. Lett. 81, 1782 (2002).CrossRefGoogle Scholar
4.Hu, C.K., Gignac, L., Rosenberg, R., Liniger, E., Rubino, J., Sambucetti, C., Stamper, A., Domenicucci, A., and Chen, X.: Reduced Cu interface diffusion by CoWP surface coating. Microelectron. Eng. 70, 406 (2003).CrossRefGoogle Scholar
5.Gambino, J., Wynne, J., Gill, J., Mongeon, S., Meatyard, D., Lee, B., Bamnolker, H., Hall, L., Li, N., and Hernandez, M.: Self-aligned metal capping layers for copper interconnects using electroless plating. Microelectron. Eng. 83, 2059 (2006).CrossRefGoogle Scholar
6.Meyer, M.A. and Zschech, E.: New microstructure-related EM degradation and failure mechanisms in Cu interconnects with CoWP coating, in AIP Proceedings of the International Workshop on Stress Induced Phenomena in Metallization (Kyoto, Japan, 2007), p. 107.Google Scholar
7.Aubel, O., Thierbach, S., Seidel, R., Freudenberg, B., Meyer, M.A., Feustel, F., Poppe, J., Nopper, M., Preusse, A., Zistl, C., and Weide-Zaage, K.: Comprehensive reliability analysis of CoWP metal cap unit processes for high volume production in sub-um dimensions, in IEEE International Reliability Physics Symposium (Phoenix, AZ, 2008), p. 675.Google Scholar
8.Gall, M., Hauschildt, M., Justison, P., Ramakrishna, K., Hernandez, R., Herrick, M., Michaelson, L., and Kawasaki, H.: Scaling of statistical and physical electromigration characteristics in Cu interconnects, in Materials, Technology and Reliability of Low-k Dielectrics and Copper Interconnects, edited by Tsui, T.Y., Joo, Y-C., Michaelson, L., Lane, M., and Volinsky, A.A. (Mater. Res. Soc. Symp. Proc. 914, Warrendale, PA, 2006), p. 305.Google Scholar
9.Christiansen, C., Li, B., Angyal, M., Kane, T., McGahay, V., Wang, Y.Y., and Yao, S.: Electromigration-resistance enhancement with CoWP or CuMn for advanced Cu interconnects, in IEEE International Reliability Physics Symposium (Monterey, CA, 2011), p. 3E. 3.1.Google Scholar
10.Hu, C.K., Gignac, L., Baker, B., Liniger, E., Yu, R., and Flaitz, P.: Impact of Cu microstructure on electromigration reliability, in IEEE International Interconnect Technology Conference Proceedings (Burlingame, CA, 2007), p. 93.Google Scholar
11.Zschech, E., Ho, P.S., Schmeisser, D., Meyer, M.A., Vairagar, A.V., Schneider, G., Hauschildt, M., Kraatz, M., and Sukharev, V.: Geometry and microstructure effect on EM-induced copper interconnect degradation. IEEE Trans. Device Mater. Reliab. 9, 20 (2009).CrossRefGoogle Scholar
12.Hau-Riege, C.S. and Thompson, C.V.: Electromigration in Cu interconnects with very different grain structures. Appl. Phys. Lett. 78, 3451 (2001).CrossRefGoogle Scholar
13.Oates, A.S. and Lee, S.C.: Electromigration failure distributions of dual damascene Cu/low-k interconnects. Microelectron. Reliab. 46, 1581 (2006).CrossRefGoogle Scholar
14.Hauschildt, M., Gall, M., Thrasher, S., Justison, P., Michaelson, L., Hernandez, R., Kawasaki, H., and Ho, P.S.: Statistical analysis of electromigration lifetimes for Cu interconnects, in AIP Proceedings of the International Workshop on Stress Induced Phenomena in Metallization (Dresden, Germany, 2006), p. 164.Google Scholar
15.Cho, J. and Thompson, C.V.: Electromigration-induced failures in interconnects with bimodal grain size distributions. J. Electron. Mater. 19, 1207 (1990).CrossRefGoogle Scholar
16.Cho, J. and Thompson, C.V.: Grain size dependence of electromigration induced failures in narrow interconnects. Appl. Phys. Lett. 54, 2577 (1989).CrossRefGoogle Scholar
17.Korhonen, M.A., Borgesen, P., Brown, D.D., and Li, C.Y.: Microstructure based statistical model of electromigration damage in confined line metallizations in the presence of thermally induced stresses. J. Appl. Phys. 74, 4995 (1993).CrossRefGoogle Scholar