Hostname: page-component-7479d7b7d-68ccn Total loading time: 0 Render date: 2024-07-12T19:43:08.889Z Has data issue: false hasContentIssue false

Contact Resistance Improvement for Advanced Logic by Integration of Epi, Implant and Anneal Innovations

Published online by Cambridge University Press:  11 November 2019

Fareen Adeni Khaja*
Affiliation:
Applied Materials, 974 E Arques Ave., Sunnyvale, CA94041, USA
*
*Phone : +1-408-563-7178 E-mail : fareen_adeni_khaja@amat.com
Get access

Abstract

As advanced CMOS scaling with FinFETs continues beyond the 10/7nm nodes, contact resistance (Rc) remains a dominant component affecting device performance. The FinFET Source/Drain (S/D) contact area has become smaller with fin pitch scaling, resulting in drastically increased Rc. To achieve higher drive currents and fully realize the performance gain from FinFET architectural changes, it is critical to continue to reduce contact resistivity (ρc) < 1.0x10-9 Ω.cm2 for both NMOS and PMOS. In this paper, we review the recent trends for ρc reduction for advanced CMOS devices and discuss approaches that have demonstrated reduction in ρc, such as in-situ heavily doped epitaxial films for S/D, advanced ion implantation and laser anneals. The implant techniques include pre-amorphization implants (PAI), dopant boosting implants, cryogenic (-100°C) implants for damage engineering and plasma doping (PLAD) for conformal doping of high aspect ratio (HAR) contacts. With such high levels of doping from epi and implants, advanced laser anneals are key for epitaxial regrowth and formation of metastable alloys for dopant supersaturation or segregation in top layers. Millisecond laser anneal (MSA) improves dopant activation and nanosecond laser anneal (NLA) permits superactivation, and both have become key enablers for ρc reduction. This paper also reviews two alternative contact approaches: dual silicide scheme and wrap-around contact (WAC), as potential pathways to further reduce Rc for advanced CMOS nodes.

Type
Review Article
Copyright
Copyright © Materials Research Society 2019 

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

Merritt, R., “CMOS Roadmap Slows, Diverges: imec’s semiconductor outlook shows limits, options,”, eetimes.com, May 17, 2019. Available at: https://www.eetimes.com/document.asp?doc_id=1334689Google Scholar
Tom, Dillinger, “TSMC Technology Symposium Review Part I”, semiwiki.com, April 30, 2019. Available at: https://semiwiki.com/semiconductor/tsmc/8149-2019-tsmc-technology-symposium-review-part-i/Google Scholar
Raghavan, P., Garcia Bardon, M., Jang, D., Schuddinck, P., Yakimets, D., Ryckaert, J., Mercha, A., Horiguchi, N., Collaert, N., Mocuta, A., Mocuta, D., Tokei, Z., Verkest, D., Thean, A., Steegen, A., Holistic Device Exploration for 7nm node. IEEE Custom Integrated Circuits Conference (CICC) p.1-5 (2015)Google Scholar
Doris, B. B.. Front End of Line Integration Issues and Opportunities Beyond 7nm Node. Presented in short course of International Electron Devices Meeting (2015).Google Scholar
Thompson, S.. Advance Device Concepts for 7nm Node and Beyond. Presented at International Electron Devices Meeting Tutorial (2015).Google Scholar
Song, S. C., Xu, J., Mojumder, N. N., Rim, K., Yang, D., Bao, J., Zhu, J., Wang, J., Badaroglu, M., Machkaoutsan, V., Narayanasetti, P., Bucki, B., Fischer, J., and Yeap, Geoffrey. Holistic Technology Optimization and Key Enablers for 7nm Mobile SoC. Presented at the 2015 Symposium on VLSI Technology Digest of Technical Papers, JFS3-4, p. T198-T199. (2015).CrossRefGoogle Scholar
Yeric, G.. Moore’s Law at 50: Are we planning for retirement? Presented at International Electron Devices Meeting (2015).CrossRefGoogle Scholar
Yu, H., Schaekers, M., Rosseel, E., Peter, A., Lee, J.-G., Song, W.B., Demuynck, S., Chiarella, T., Ragnarsson, L.A., Kubicek, S., Everaert, J., Horiguchi, N., Barla, K., Kim, D., Collaert, N., Thean, A.V.-Y., De Meyer, K.. 1.5x10-9 Ω.cm2 Contact Resistivity on Highly Doped Si:P Using Ge Pre-amorphization and Ti Silicidation. Presented at the 2015 IEEE International Electron Devices Meeting, p. 592-595 (2015)CrossRefGoogle Scholar
Wu, Heng, Seo, Soon-Cheon, Niu, Chengyu, Wang, Wei, Tsutsui, Gen, Gluschenkov, Oleg, Liu, Zuoguang, Petrescu, Alexandru, Carr, Adra, Choi, Sam, Tsai, Stan, Park, Chanro, Seshadri, Indira, Desilva, Anuja, Arceo, Abraham, Yang, George, Sankarapandian, Muthumanickam, Prindle, Chris, Akarvardar, Kerem, Durfee, Curtis, Yang, Jie, Adusumilli, Praneet, Miao, Bruce, Strane, Jay, Kleemeier, Walter, Raymond, Mark, Choi, Kisik, Lie, Fee-li, Yamashita, Tenko, Knorr, Andreas, Gupta, Dinesh, Guo, Dechao, Divakaruni, Rama, Bu, Huiming, and Khare, Mukesh. Integrated Dual SPE Processes with Low Contact Resistivity for Future CMOS Technologies. Presented at the International Electron Devices Meeting p. 545-548 (2017).CrossRefGoogle Scholar
Wu, H., Gluschenkov, O., Tsutsui, G., Niu, C., Brew, K., Durfee, C., Prindle, C., Kamineni, V., Mochizuki, S., Lavoie, C., Nowak, E., Liu, Z., Yang, J., Choi, S., Demarest, J., Yu, L., Carr, A., Wang, W., Strane, J., Tsai, S., Liang, Y., Amanapu, H., Saraf, I., Ryan, K., Lie, F., Kleemeier, W., Choi, K., Cave, N., Yamashita, T., Knorr, A., Gupta, D., Haran, B., Guo, D., Bu, H., and Khare, M.. Parasitic Resistance Reduction Strategies for Advanced CMOS FinFETs Beyond 7nm. Presented at the International Electron Devices Meeting p. 819-822 (2018).CrossRefGoogle Scholar
Gluschenkov, O., Liu, Z., Niimi, H., Mochizuki, S., Fronheiser, J., Miao, X., Li, J., Demarest, J., Zhang, C., Niu, C., Liu, B., Petrescu, A., Adusumilli, P., Yang, J., Jagannathan, H., Bu, H., and Yamashita, T.. FinFET performance with Si:P and Ge:Group-III-Metal Metastable Contact Trench Alloys. Presented at the International Electron Devices Meeting p.448-451 (2016).CrossRefGoogle Scholar
Yang, Y.R., Breil, N., Yang, C.Y., Hsieh, J., Chiang, F., Colombeau, B., Guo, B.N., Shim, K.H., Variam, N., Leung, G., Hebb, J., Sharma, S., Ni, C.N., Ren, J., Wen, J., Park, J.H., Chen, H., Chen, S., Hou, M., Tsai, D., Kuo, J., Liao, D., Chudzik, M., Lin, S.H., Huang, H.F., Yang, N.H., Lin, J.F., Tsai, C.T., Hung, G.C., Hsu, S.C., Cheng, O., Wu, J.Y. and Yew, T.R.. Ultra low p-type SiGe contact resistance FinFETs with Ti silicide liner using cryogenic contact implantation amorphization and Solid-Phase Epitaxial Regrowth (SPER). Presented at the 2016 Symposium on VLSI Technology Digest of Technical Papers (2016).CrossRefGoogle Scholar
Ni, C.-N., Rao, K.V., Khaja, F., Sharma, S., Tang, S., Chen, J. J., Hollar, K. E., Breil, N., Li, X., Jin, M., Lazik, C., Lee, J., Maynard, H., Variam, N., Mayur, A. J., Kim, S., Chung, H., Chudzik, M., Hung, R., Yoshida, N., Kim, N.. Ultra-low NMOS contact resistivity using a novel plasma-based DSS implant and laser anneal for post 7 nm nodes. Presented at the 2016 IEEE Symposium on VLSI Technology (2016).CrossRefGoogle Scholar
Yu, H., Schaekers, M., Hikavyy, A., Rosseel, E., Peter, A., Churton, K., Khaja, F. A., Aderhold, W., Date, L., Mayur, A. J., Lee, J.-G., Shin, K. M., Douhard, B., Chew, S. A., Demuynck, S., Kubicek, S., Kim, D., Mocuta, A., Barla, K., Horiguchi, N., Collaert, N., Thean, A.V.-Y., De Meyer, K.. Ultralow-Resistivity CMOS Contact Scheme with Pre-Contact Amorphization Plus Ti (Germano-)Silicidation. Presented at the 2016 IEEE Symposium on VLSI Technology Digest of Technical Papers (2016).CrossRefGoogle Scholar
Liu, Zuoguang, Gluschenkov, Oleg, Niimi, Hiroaki, Liu, Bei, Li, Juntao, Demarest, James, Mochizuki, Shogo, Adusumilli, Praneet, Raymond, Mark, Carr, Adra, Chen, Shaoyin, Wang, Yun, Jagannathan, Hemanth, Yamashita, Tenko. Dual Beam Laser Annealing for Contact Resistance Reduction and Its Impact on VLSI Integrated Circuit Variability. Presented at the 2017 Symposium on VLSI Technology Digest of Technical Papers, p. T212 (2017).CrossRefGoogle Scholar
Ni, C.-N., Huang, Y.-C., Jun, S., Sun, S., Vyas, A., Khaja, F., Rao, K.V., Sharma, S., Breil, N., Jin, M., Lazik, C., Mayur, A., Gelatos, J., Chung, H., Hung, R., Chudzik, M., Yoshida, N., Kim, N.. PMOS Contact Resistance Solution Compatible to CMOS Integration PMOS contact resistance solution compatible to CMOS integration for 7 nm node and beyond. Presented at the 2016 International Symposium on VLSI Technology, Systems and Application (2016)CrossRefGoogle Scholar
Hung, Raymond, Khaja, Fareen Adeni, Hollar, Kelly E, Rao, KV, Munnangi, Samuel, Chen, Yongmei, Okazaki, Motoya, Huang, Yi-Chiau, Li, Xuebin, Chung, Hua, Chan, Osbert, Lazik, Christopher, Jin, Miao, Zhou, Hongwen, Mayur, Abhilash, Kim, Namsung, Yieh, Ellie. Novel Solutions to Enable Contact Resistivity <1E-9 Ω-cm2 for 5nm Node and Beyond. Presented at the 2018 International Symposium on VLSI Technology, Systems and Application (2018).CrossRefGoogle Scholar
Everaert, J-L., Schaekers, M., Yu, H., Wang, L.-L., Hikavyy, A., Date, L., del Agua Borniquel, J., Hollar, K., Khaja, F. A., Aderhold, W., Mayur, A. J., Lee, J.Y., van Meer, H., Jiang, Y.-L., De Meyer, K., Mocuta, D., Horiguchi, N.. Sub-10-9 Ω.cm2 Contact Resistivity on p-SiGe Achieved by Ga Doping and Nanosecond Laser Activation. Presented at the 2017 Symposium on VLSI Technology (2017).CrossRefGoogle Scholar
Gluschenkov, Oleg, Wu, Heng, Brew, Kevin, Niu, Chengyu, Yu, Lan, Sulehria, Yasir, Choi, Samuel, Durfee, Curtis, Demarest, James, Carr, Adra, Chen, Shaoyin, Willis, Jim, Thanigaivelan, Thirumal, Lie, Fee-li, Kleemeier, Walter, and Guo, Dechao. External Resistance Reduction by Nanosecond Laser Anneal in Si/SiGe CMOS Technology. Presented at the International Electron Devices Meeting p. 815-818 (2018)CrossRefGoogle Scholar
Chang, Chih-Yang, Khaja, Fareen Adeni, Hollar, Kelly E, Rao, KV, Lazik, Christopher, Jin, Miao, Zhou, Hongwen, Hung, Raymond, Huang, Yi-Chiau, Chung, Hua, Mayur, Abhilash, Kim, Namsung. Ultra-low (1.2x10-9 Ωcm2) p- Si0.55Ge0.45 Contact Resistivity (ρc) using Nanosecond Laser Anneal for 7nm nodes and Beyond. Ext. Abs. the 17th International Workshop on Junction Technology S2-3 (2017).CrossRefGoogle Scholar
Adusumilli, P., Alptekin, E., Raymond, M., Breil, N., ChafIk, F., Lavoiei, C., Ferrer, D., Jain, S., Kamineni, V., Ozcan, A., Allen, S., An, J. J., Basker, V., Bolam, R., Bu, H., Cai, J., Demarest, l., Doris, B., Engbrecht, E., Fan, S., Fronheiser, J. , Gluschenkov, O., Guo, D., Haran, B., Hilscher, D., Jagannathan, H., Kang, D., Ke, Y., Kim, J., Koswatta, S., Kumar, A., Labonte, A. , Lallement, R., Lee, W., Lee, Y., Li, J., Lin, C-H, Liu, B.,, Liu, Z., Loubet, N., Makela, N., Mochizuki, S., Morgenfeld, B., Narasimha, S., Nesheiwat, T., Niimi, H., Niu, C., Oh, M., Park, C., Ramachandran, R., Rice, J., Sardesai, V., Sheareri, J., Sheraw, C., Tran, C., Tsutsui, G., Utomo, H., Wong, K., Xie, R., Yamashita, T., Yan, Y., Yehi, C., Yu, M., Zamdmer, N., Zhan, N., Zhang, B., Paruchuri, V., Ooldberg, C., Kleemeier, W., Stiffler, S., Divakaruni, R., Henson, W.. Ti and NiPt/Ti Liner Silicide Contacts for Advanced Technologies. Presented at the 2016 Symposium on VLSl Technology, Digest of Technical Papers (2016)CrossRefGoogle Scholar