Hostname: page-component-7479d7b7d-8zxtt Total loading time: 0 Render date: 2024-07-13T21:38:26.917Z Has data issue: false hasContentIssue false

Electrical and Structural Characterization of Boron Implanted Silicon Following Laser Thermal Processing

Published online by Cambridge University Press:  01 February 2011

K. A. Gable
Affiliation:
Materials Science and Engineering, University of Florida, Gainesville, FL 32611 kgabl@mse.ufl.edu
K. S. Jones
Affiliation:
Materials Science and Engineering, University of Florida, Gainesville, FL 32611
M. E. Law
Affiliation:
Electrical and Computer Engineering, University of Florida, Gainesville, FL 32611
L. S. Robertson
Affiliation:
Texas Instruments, Dallas, TX 75265
S. Talwar
Affiliation:
Verdant Technologies, San Jose, CA 95134
Get access

Abstract

One alternative to conventional rapid thermal annealing (RTA) of implants for ultra-shallow junction formation is that of laser annealing. Laser thermal processing (LTP) incorporates an excimer pulsed laser capable of melting the near surface region of the silicon (Si) substrate. The melt depth is dependent upon the energy density supplied by the irradiation source and the melting temperature of the substrate surface. A process window associated with this technique is able to produce similar junction depths over a range of energy densities due to the melting temperature depression established with pre-amorphization of the substrate surface prior to dopant incorporation. The process window of germanium (Ge) preamorphized, boron (B) doped Si was investigated. 200 mm (100) n-type Si wafers were preamorphized via 18 keV Ge+ implantation to 1x1015/cm2 and subsequently implanted with 1 keV B+ to doses of 1x1015/cm2, 3x1015/cm2, 6x1015/cm2, and 9x1015/cm2. The wafers were laser annealed from 0.50 J/cm2 to 0.88 J/cm2 using a 308 nm XeCl excimer irradiation source. Transmission electron microscopy (TEM) was used to determine the process window for each implant condition, and correlations between process window translation and impurity concentration were made. Four-point probe quantified dopant activation and subsequent deactivation upon post-LTP furnace annealing.

Type
Research Article
Copyright
Copyright © Materials Research Society 2002

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

1) Semiconductor Industry Association, International Technology Roadmap for Semiconductors: 1999 Edition, Austin, TX: International SEMATECH, 1999.Google Scholar
2) Eaglesham, D. J., Stolk, P. A., Gossmann, H.J., Poate, J. M., “Implantation and transient B diffusion in Si: The source of the interstitials,” Appl. Phys. Lett. 65, 2305 (1994).Google Scholar
3) Trumbore, F. A., Solid Solubility of Impurity Elements in Germanium and Silicon, Bell Sys. Tech. J. 39, 205 (1960).Google Scholar
4) Talwar, S., Felch, S., Downey, D., Wang, Y., “Study of Laser Thermal Processing (LTP) to Meet Sub 130 nm Node Shallow Junction Requirements,” Proc. of the Conf. on Ion Implantation Technology, (2000), p. 175.Google Scholar
5) Thompson, M., Galvin, G., Mayer, J., Peercy, P., Poate, J., Jacobson, D., Cullis, A., Chew, N., “Melting temperature and explosive crystallization of amorphous silicon during pulse laser irradiation,” Physical Review Letters vol. 52, 1984, pp.23602363.Google Scholar
6) Peercy, P. S., Thompson, M. O., and Tsao, J. Y., “Dynamics of Rapid Solidification in Silicon,” Mat. Res. Soc. Symp. Proc. vol 74, 1987.Google Scholar
7) Poate, J. and Mayer, J., Laser Annealing of Semiconductors, Academic Press, New York, 1982.Google Scholar
8) Murto, R., Jones, K., Rendon, M., Talwar, S., “An investigation of Species Dependence in Germanium Pre-amorphized and Laser Thermal Annealed Ultra-Shallow Abrupt Junctions,” Proc. of the Conf. on Ion Implantation Technology (2000), p. 182.Google Scholar
9) Sing, D., Borden, P., Bechtler, L., Murto, R., Talwar, S., “Boxer Cross Measurements of Laser Annealed Shallow Junctions,” Proc. of the Conf. on Ion Implantation Technology (2000), p. 646.Google Scholar
10) Cullis, A. G., Webber, H. C., Bailey, P., “A Device for Laser Beam Diffusion and Homogenisation,” Journal of Physics E (1979) 12, 688.Google Scholar
11) Tseng, W. F., Mayer, J. W., Campisano, S. U., Foti, G., Rimini, E., “Grain Size Dependence in a Self-Implanted Silicon Layer on Laser Irradiation Energy Density,” Appl. Phys. Lett. 32(12), June 1978.Google Scholar
12) Griffin, P., Plummer, J. D., Private Communication.Google Scholar
13) http://cyberbuzz.gatech.edu/asm_tms/phase_diagrams/pd/b_si.jpg.Google Scholar
14) Rhines, F. N., Phase Diagrams in Metallurgy, McGraw-Hill, New York, 1956.Google Scholar
15) Tiller, W. A., Jackson, K. A., Rutter, J. W., Chalmers, B., “The Redistribution of Solute Atoms During the Solidification of Metals,” Acta. Metallurgica vol. 1, July 1953.Google Scholar
16) Murto, R., Jones, K., Rendon, M., Talwar, S., “Activation and Deactivation Studies of Laser Thermal Annealing Boron, Arsenic, Phosphorus, and Antimony Ultra-Shallow Abrupt Junctions,” Proc. of the Conf. on Ion Implantation Technology (2000), p. 155.Google Scholar
17) Takamura, Y., Jain, S., Griffin, P., Plummer, J. D., “A Study of the Deactivation of High Concentration, Laser Annealed Dopant Profiles in Silicon,” Mat. Res. Soc. Symp. Proc. vol 669, 2001.Google Scholar