Hostname: page-component-5c6d5d7d68-txr5j Total loading time: 0 Render date: 2024-08-15T12:17:54.266Z Has data issue: false hasContentIssue false

Silicon Rapid Thermal Processing with Ripple Pyrometry

Published online by Cambridge University Press:  10 February 2011

A. T. Fiory*
Affiliation:
Bell Laboratories, Lucent Technologies Inc., Murray Hill NJ 07974
Get access

Abstract

Thermal processing in silicon integrated circuit fabrication steps for dopant activation, metal silicides, annealing, and oxidation commonly uses single-wafer furnaces that rapidly heat wafers with incandescent infrared lamps. Radiation pyrometers and thermocouple probes are the principle methods of measuring wafer temperature for closed-loop control of rapid thermal processes. The challenge with thermocouples is in dealing with heat from the lamps and non-ideal thermally resistive wafer contact. The challenge with pyrometry is in compensating for the variable emissivity of wafer surfaces and suppressing interference from the lamps. Typical deposited or grown layers of silicon nitride, silicon dioxide, and polycrystalline silicon can produce dramatic changes in emissivity. Layer thicknesses and composition are generally not known with sufficient accuracy, so a method for real time in situ emissivity compensation is required. Accufiber introduced a “ripple technique” to address this issue. The idea is to use two probes, separately sensing radiation from the wafer and the lamps, and extracting AC and quasi-DC parts from each. The AC signals provide a measure of the reflectivity of the wafer, and thence emissivity, as well as the fraction of reflected lamp radiation present in the DC signals. Lucent Technologies introduced a method of using AC lamp ripple to measure wafer temperatures with two radiation probes at a wall in the furnace. One probe views radiation emanating from the wafer through a gap in the lamp array. The other probe has a wide field of view to include lamp radiation. The accuracy of Lucent devices, determined from process results on wafers with various emissivities, is typically in the range of 12°C to 18°C at three standard deviations.

Type
Research Article
Copyright
Copyright © Materials Research Society 1998

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

1. Schietinger, C., Adams, B., and Yarling, C., Mat. Res. Soc. Symp. Proc. 224, 23 (1991).Google Scholar
2. Schietinger, C. W. and Adams, B. E., U.S. Patents Nos. 5,154,512 (1992), 5,166,080 (1992), 5,310,260 (1994), 5,318,362 (1994), 5,490,728 (1996).Google Scholar
3. Schietinger, C. and Adams, B., in 5th Int. Conf. Advanced Thermal Processing, edited by Fair, R. B., Green, M. L., Lojek, B., and Thakur, R. P. S. (RTP'97, Round Rock TX, 1997), p. 335.Google Scholar
4. Nguyenphu, B., Oh, M., and Fiory, A. T., Mat. Res. Soc. Symp. Proc. 429, 291 (1996).Google Scholar
5. Nguyenphu, B. and Oh, M., in 5th Int. Conf. Advanced Thermal Processing, edited by R. B. Fair, M. L. Green, B. Lojek, and R. P. S. Thakur (RTP'97, Round Rock TX, 1997), p. 347.Google Scholar