Symposium Y – Enabling Technologies for 3-D Integration
Research Article
Current and Future Three-Dimensional LSI Integration Technology by “chip on chip”, “chip on wafer” and “wafer on wafer”
- Manabu Bonkohara, Makoto Motoyoshi, Kazutoshi Kamibayashi, Mitsumasa Koyanagi
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y03-03
-
- Article
- Export citation
-
Recently the development of three dimensional LSI (3D-LSI) has been accelerated and its stage has changed from the research level or limited production level to the investigation level with a view to mass production. This paper describes the current and the future 3D-LSI technologies which we have considered and imagined. The current technology is taken our Chip Size Package (CSP) for sensor device, for instance. In the future technology, there are the five key technologies are described. And considering con and pro of the current 3D LSI stacked approach, such as CoC (Chip on Chip), CoW (Chip on Wafer) and WoW (Wafer on Wafer), We confirmed that CoW combined with Super-Smart-Stack (SSS™) technology will shorten the process time per chip at the same level as WoW approach and is effective to minimize process cost.
3-D Integration Technology for High Performance Detector Arrays
- Dorota Temple, Christopher A. Bower, Dean Malta, James E. Robinson, Phillip R. Coffman, Mark R. Skokan, Terry B. Welch
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y03-04
-
- Article
- Export citation
-
This paper describes a technology for three-dimensional (3-D) integration of multiple layers of silicon integrated circuits. The technology promises to dramatically enhance on-chip signal processing capabilities of a variety of detector devices hybridized with Si electronics. The focus of the paper is on high performance infrared focal plane arrays based on HgCdTe, which offer the ultimate in infrared sensitivity and find application in high performance military systems. Performance data from test FPA devices with integrated multilayer Si stacks are discussed in this paper.
CMOS-Compatible Through Silicon Vias for 3D Process Integration
- Cornelia K. Tsang, Paul S. Andry, Edmund J. Sprogis, Chirag S. Patel, Bucknell C. Webb, Dennis G. Manzer, John U. Knickerbocker
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y01-01
-
- Article
- Export citation
-
As the limits of traditional CMOS scaling are approached, process integration has become increasingly difficult and resulting in a diminished rate of performance improvement over time. Consequently, the search for new two- and three- dimensional sub-system solutions has been pursued. One such solution is a silicon carrier-based System-on-Package (SOP) that enables high-density interconnection of heterogeneous die beyond current first level packaging densities. Silicon carrier packaging contains through silicon vias (TSV), fine pitch Cu wiring and high-density solder pads/joins, all of which are compatible with traditional semiconductor methods and tools. These same technology elements, especially the through silicon via process, also enable three dimensional stacking and integration. An approach to fabricating electrical through-vias in silicon is described, featuring annular-shaped vias instead of the more conventional cylindrical via. This difference enables large-area, uniform arrays to be produced with high yield as it is simpler to integrate into a conventional CMOS back-end-of-line (BEOL) process flow. Furthermore, the CTE-matched silicon core provides improved mechanical stability and the dimensions of the annular via allows for metallization by various means including copper electroplating or CVD tungsten deposition. An annular metal conductor process flow will be described. Through-via resistance measurements of 50, 90, and 150μm deep tungsten-filled annular vias will be compared. Two silicon carrier test vehicle designs, containing more than 2,200 and 9,600 electrical through-vias, respectively, were built to determine process yield and uniformity of via resistance. Through silicon via resistances range from 15-40 mΩ, and yields in excess of 99.99% have been demonstrated.
Modeling and Simulation of Parasitic Effects in Stacked Silicon
- Gunter Elst
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y02-02
-
- Article
- Export citation
-
Devices and interconnect structures of new semiconductor and packaging technologies show parasitic physical effects with a growing influences of the system behavior. Therefore, the design technology has to be developed and adjusted to ensure high system performance and reliability of these very complex systems on chip and in a stack. The influences of parasitic effects on the circuit behavior have to be minimized within the design process.
Typical parasitic effects of the Vertical System Integration (VSI®) by stacked silicon are discussed in this paper. Effects like electro thermal coupling, electromagnetic interactions, and the sensitivity due to parameter variations and their influence to the system behavior are identified and modeled. Approaches for minimization of these influences by design modifications are presented.
High Density Direct Bond Interconnect (DBI) Technology for Three Dimensional Integrated Circuit Applications
- Paul Enquist
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y01-04
-
- Article
- Export citation
-
A novel direct wafer bonding technology capable of forming a very high density of electrical interconnections across the bond interface integral to the bond process is described. Results presented include an 8 um interconnection pitch, die-to-wafer and wafer-to-wafer bonding formats, temperature cycling reliability × 10 greater than the JEDEC requirement, connection yield ∼ 99.999, > 50% part yield on parts with ∼ 450,000 connections, and < 0.1 Ohm connection resistance at 1pA without requiring a voltage surge to induce current.
Through Wafer Interconnects for 3-D Packaging
- Amy J. Moll, William B. Knowlton, Rex Oxford
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y01-06
-
- Article
- Export citation
-
Semiconductor technology has reached a point in its evolution where the package now plays an important role in the overall performance of the device. In MEMs devices, the package is often more than 75% of the cost and has a significant impact in the overall size. Through wafer interconnects allow for advanced 3-D packaging schemes. Additional miniaturization, increased interconnection density, and higher performance is possible by stacking die with through wafer interconnects. Key technologies for creating TWIs are the ability to create a via through the silicon wafer, dielectric isolation of the via metal from the substrate, and filling or coating the via with a conducting material. Through wafer interconnects have been demonstrated in silicon wafers. The process to create TWIs has been optimized. The TWI has been tested electrically and proven reliable. TWIs were incorporated into an active device wafer and a two die stack connected through solder bump technology. In current work, specific applications which take advantage of the benefits of TWI's are being explored including 3-D inductors, unique sensor packages and MEMs applications.
3-D Integration Latest Developments at LETI
- Barbara Charlet
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y01-05
-
- Article
- Export citation
-
We review the latest 3-D integration developments performed in LETI, giving some devices integration examples and discussing the achieved performances. Direct bonding and layer transfer (smart cut™) is now largely used to process innovative substrates like: SOI, SSOI, GeOI, … and others. This type of new substrate can play a crucial role in 3D structure integration and can answer the requirements for new challenging performances.
3-D integration approach has been used and will be presented in the following topics: advanced packaging by neo-wafers, chip to wafers integration, hetero-structures integration and wafer to wafer concept (front and back-end application). The examples of neo-wafer rebuilding for advanced packaging, the hetero- structure achieved by chip-to-wafer or wafer-to-wafer bonding and front-end and back-end architecture are discussed regarding the 3-D integration challenging requirements. The challenging cases of wafer-level integrated demonstrators for high density 3D inter-chips connections and wireless interconnections are presented. For some examples we give also the first electrical performances achieved with representative demonstrators.
Thermo-Mechanical Reliability of 3D-integrated Microstructures in Stacked Silicon
- Bernhard Wunderle, R. Mrossko, O. Wittler, E. Kaulfersch, P. Ramm, B. Michel, H. Reichl
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y02-04
-
- Article
- Export citation
-
This paper investigates the thermo-mechanical reliability of inter-chip-vias (ICV) for 3D chip stacking after processing and under external thermal loads relevant for the envisaged field of application (mobile, automotive) by Finite Element simulation. First the materials are characterised by nano-indentation to determine elasto-plastic data. Finite Element simulations are used to reproduce these data and to extract local material properties like E-modulus and yield stress. Accumulated plastic strain is used as failure indicator under periodic thermal loading of an ICV. Geometrical, material and process-related parameters are varied to obtain first design guidelines for this new technology. The locations of stress and strain accumulation are given.
Development of 3D-Packaging Process Technology for Stacked Memory Chips
- Toshiro Mitsuhashi, Yoshimi Egawa, Osamu Kato, Yoshihiro Saeki, Hidekazu Kikuchi, Shiro Uchiyama, Kayoko Shibata, Junji Yamada, Masakazu Ishino, Hiroaki Ikeda, Nobuaki Takahashi, Yoichiro Kurita, Masahiro Komuro, Satoshi Matsui, Masaya Kawano
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y03-06
-
- Article
- Export citation
-
A 3D packaging technology for 4 Gbit DRAM has been developed. It is targeting to realize 4Gb density DRAM by stacking 8-DRAM chips into one package. Interconnect between stacked chips will be done by through-silicon-via for the requirement of 3Gbps operation. Key process technologies for chip stacking are through-silicon-via formation, wafer back side process and micro-bump bonding. These chip-stacking processes have been developing using TEG, which can evaluate electrical characteristics.
Grinding and Mixed Silicon Copper CMP of Stacked Patterned Wafers for 3D Integration
- Koen De Munck, Jan Vaes, Lieve Bogaerts, Piet De Moor, Chris Van Hoof, Bart Swinnen
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y06-03
-
- Article
- Export citation
-
3D integration promises to reduce system form factor through direct stacking and interconnection of chips made using different technologies, into a single system. In our case, these interconnects consist of small and deep through wafer vias in the form of Cu nails. One of the enabling technologies to achieve 3D stacks, is thinning on carrier. It involves backside grinding and CMP of patterned wafers down to 20 micron, while temporarily glued to a carrier.
Success of grinding on carrier is found to strongly depend on temporary glue layer properties and bonding quality. Voids in between device wafer and carrier of various origins were observed to cause thin wafer delamination and catastrophic breakage when grinding down below 50 micron. By improvements in the bonding process, we eventually enabled uniform bonding, compatible with standard grinding and CMP techniques.
CMP both removes grinding-induced damage and exposes the Cu nails at the thin wafer backside. The developed CMP consists of 2 steps which are optimized to reduce Cu smearing and within-die uniformity. Both are found to correlate with the local Cu nail density variations.
Through-Silicon-Via Copper Deposition for Vertical Chip Integration
- Bioh Kim
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y06-02
-
- Article
- Export citation
-
Consumers are demanding smaller, lighter electronic devices with higher performance and more features. The continuous pressure to reduce size, weight, and cost, while increasing the functionality of portable products, has created innovative, cost-effective 3D packaging concepts. Among all kinds of 3D packaging techniques, through-silicon-via (TSV) electrodes can provide vertical connections that are the shortest and most plentiful with several benefits (1). Connection lengths can be as short as the thickness of a chip. High density, high aspect ratio connections are available. TSV interconnections also overcome the RC delays and reduce power consumption by bringing out-of-plane logic blocks much closer electrically.
The technologies engaged with TSV chip connection include TSV formation, insulator/barrier/seed deposition, via filling, surface copper removal, wafer thinning, bonding/stacking, inspection, test, etc. Process robustness and speed of copper deposition are among the most important technologies to realize TSV chip integration. There are generally three types of via filling processes; lining along the sidewall of vias, full filling within vias, and full filling with stud formation above the via. Here, the stud works as a mini-bump for solder bonding. Two methodologies have been generally adopted for via filling process; (a) via-first approach : blind-via filling with 3-dimensional seed layer, followed by wafer thinning and (b) thinning-first approach : through-via filling with 2-dimensional seed layer at the wafer bottom after wafer thinning. Currently, the first approach is more popular than the second approach due to difficulty in handling and plating thinned wafers (2).
We examined the impact of varying deposition conditions on the overall filling capability within high aspect ratio, deep, blind vias. We tested the impacts of seed layer conformality, surface wettablity, bath composition (organic and inorganic components), waveform (direct current, pulse current, and pulse reverse current), current density, flow conditions, etc. Most deposition conditions affected the filling capability and profile to some extent. We found that reducing current crowding at the via mouth and mass transfer limitation at the via bottom is critical in achieving a super-conformal filling profile. This condition can be only achieved with a proper combination of aforementioned process conditions. With optimized conditions, we can repeatedly achieve void-free, bottom-up filling with various via sizes (5-40μm in width and 25-150μm in depth).
High-Performance Temporary Adhesives for Wafer Bonding Applications
- Rama Puligadda, Sunil Pillalamarri, Wenbin Hong, Chad Brubaker, Markus Wimplinger, Stefan Pargfrieder
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y04-09
-
- Article
- Export citation
-
Myriad structures for stacking chips, power devices, smart cards, and thin substrates for processors have one thing in common: thin silicon. Wafer thinning will soon be an essential process step for most of the devices fabricated and packaged henceforth. The key driving forces for thinned wafers are improved heat dissipation, three-dimensional stacking, reduced electrical resistance, and substrate flexibility. Handling of thin and ultrathin substrates however is not trivial because of their fragility and tendency to warp and fold. The thinned substrates need to be supported during the backside grinding process and through the subsequent processes such as lithography, deposition, etc. Using temporary adhesives to attach the processed device wafer to a rigid carrier wafer offers an efficient solution. The key requirements for such materials are ease of application, coating uniformity with minimal thickness variation across the wafer, good adhesion to a wide variety of surfaces, thermal stability in processes such as dielectric deposition and metallization, and ease of removal to allow high throughput. An additional requirement for these materials is stability in harsh chemical environments posed by processes such as etching and electroplating. Currently available materials meet only a subset of these requirements. None of them meet the requirement of high-temperature stability combined with ease of removal. We have developed adhesives that meet a wide range of post-thinning operating temperatures. Additionally, the materials are soluble in industry-accepted safe solvents and can be spin-applied to required thicknesses and uniformity. Above all, the coatings can be removed easily without leaving any residue. This paper reports on the development of a wide range of temporary adhesives that can be used in wafer thinning applications while applying both novel and conventional bonding and debonding methods.
Silicon Through-hole Interconnection for 3D-SiP Using Room Temperature Bonding
- Naotaka Tanaka, Yasuhiro Yoshimura, Takahiro Naito, Takashi Akazawa
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y05-01
-
- Article
- Export citation
-
In rapidly growing market sectors, such as mobile information devices, SiP technology, in which multiple LSI chips are stacked three-dimensionally, is attracting attention as a means of greatly reducing the mounting area of electronic components to improve system performance while reducing system size. Hitachi, Ltd. and Renesas Technology developed a new way to interconnect stacked chips using through-hole electrodes with a lower cost and shorter turn around time (TAT). Stacked chips are electrically interconnected by simply applying a compressive force at room temperature to a conventional chip with multiple gold stud bumps. Gold stud bumps on the upper chips are pressed into the through-hole electrodes on the lower chips by applying a compressive force, which causes plastic to flow into the gold bump. That is, the use of a gmechanical caulkingh technique makes possible electrical connections between stacked chips at room temperature. Compared with conventional through-hole electrode interconnection (more than 200°C), this new method drastically reduces the production cost and the environmental load. By using this technology, the package thickness can be 1.0 mm or less even in ten-chip layers, compared with two-chip layers using wire bonding, which are approximately 1.25-mm thick.
Novel Wafer Dicing and Chip Thinning Technologies Realizing High Chip Strength
- Shinya Takyu, Tetsuya Kurosawa, Noriko Shimizu, Susumu Harada
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y06-05
-
- Article
- Export citation
-
As telecommunication equipment that supports high-level information networks is being made portable, the requirements for telecommunication equipment to be small and lightweight are becoming stricter. Thus, miniaturization of semiconductor devices is necessary, and wafer dicing and chip thinning technologies are important key technologies to achieve it. Wafers are thinned by mechanical in-feed grinding using a grindstone containing diamond particles, and wafers are divided by mechanical blade dicing using a diamond blade. However, mechanical processes using diamond grits leave damage such as chipping, saw mark or residual strain on chip surfaces; thus, chip strength decreases. At chip thicknesses of 50 to 200 μm, such damage has to be avoided.
In this study, the relationship between chip residual damage and chip strength is examined, and novel wafer dicing and thinning technologies that realize an average chip strength have increased from 253 MPa to 1903 MPa are described.
Design and Fabrication of 3D Microprocessors
- Patrick Morrow, Bryan Black, Mauro J Kobrinsky, Sriram Muthukumar, Don Nelson, Chang-Min Park, Clair Webb
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y03-02
-
- Article
- Export citation
-
Stacking multiple device strata can improve system performance of a microprocessor (μP) by reducing interconnect length. This enables latency improvement, power reduction, and improved memory bandwidth. In this paper we review some of our recent design analysis and process results which quantitatively show the benefits of stacking applied to μPs.
We report on two applications for stacking which take advantage of reduced wire length- “logic+logic” stacking and “logic+memory” stacking. In addition to optimizing minimum wire length, we considered carefully the thermal ramifications of the new designs. For the logic+memory application, we considered the case of reducing off-die wiring by stacking a DRAM cache (32 to 64MB) onto a high performance μP. Simulations showed 3x reduced off-die bandwidth, Cycles Per Memory Access (CPMA) reduction of 13%, and a 66% average bus power reduction. For logic+logic applications, we considered a high performance μP where the unit blocks were repartitioned into two strata. For this case, simulations showed that stacking can simultaneously reduce power by 15% while increasing performance by 15% with a minor 14° C increase in peak temperature compared to the planar design. Using voltage scaling, this translates to 34% power reduction and 8% performance improvement with no temperature increase. We found that these results can be further improved by a secondary splitting of the individual blocks. As an example, we split a 32KB first level data cache resulting in 25% power reduction, 10% latency reduction, and 20% area reduction.
We also discuss the fabrication of stacked structures with two complimentary process flows. In one case, we developed a 300mm wafer stacking process using Cu-Cu bonding, wafer thinning, and through-silicon vias (TSVs). This technology provides reliable bonding with non-detectable bonding-interface resistance and inter-strata via pitch below 8μm. We investigated the impact of this wafer stacking process to the transistor and interconnect layers built using a 65nm strained-Si/Cu-Low-K process technology and found no impact to either discrete N- and P-MOS devices or to thin 4Mb SRAMs. We verified fully functional SRAMs on thinned wafers with thicknesses down to 5μm. Although wafer stacking leads itself well to tight-pitch same-die-size stacking, die stacking enables integration of different size dies and includes opportunity to improve yield by stacking known good dies. We demonstrated a die stack process flow with 75μm thinned die, TSV, and inter-strata via pitch below 100μm. We also found negligible impact to transistors using this process flow. Multiple stacks of up to seven 75μm thin dies with TSVs were fabricated and tested. Prospects for high volume integration of 3D into μPs are discussed.
3D Process Integration – Wafer-to-Wafer and Chip-to-Wafer Bonding
- Thorsten Matthias, Markus Wimplinger, Stefan Pargfrieder, Paul Lindner
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y04-08
-
- Article
- Export citation
-
Many feasibility and design studies during the last years have shown that devices based on 3D chip stacking and integration can significantly outperform traditional planar (2D) devices. Furthermore, as packaging of the devices is a major cost factor, the possibility to integrate multiple functional entities in one package offers a huge potential for cost reduction. On research level the technical feasibility has been proven for a variety of processes. Today's focus lies on innovative manufacturing technologies and process integration schemes, which meet both, the economic and the technical demands.
Stacking of individual chips (both chip-to-wafer and wafer-to-wafer) has the inherent advantage that different functional subsystems like logic and memory can be processed on separate wafers thereby reducing the complexity and the number of process steps greatly. The individual chips can be processed on heterogeneous materials, in different fabs and by different producers.
Wafer-level integration has the advantage of higher throughput, enhanced cleanliness and the flexibility that standard fab equipment can be used for further processing. 3D integration applying chip-to-wafer bonding focuses on the yield (“good known die”) and enables to stack dies of different size e.g. several small dies on one big base die. This allows e.g. the integration of a logic device from a 300mm Si wafer with RF devices from a 150mm GaAs wafer.
In this paper a higher emphasis lies on the key enabling manufacturing technologies and supported processes.
Silicon Layer Stacking Enabled by Wafer Bonding
- Chuan Seng Tan, Kuan-Neng Chen, Andy Fan, Anantha Chandrakasan, Rafael Reif
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y04-01
-
- Article
- Export citation
-
Three-dimensional integrated circuits (3-D ICs), in the form of a vertical stack of several interconnected device layers, have many performance, form factor, and integration advantages. The main objective of this work is to develop reliable process technology to enable the fabrication of a vertically interconnected silicon multi-layer stack.
Low temperature wafer bonding processes, both copper thermo-compression bonding and silicon dioxide fusion bonding, are studied extensively as key enabling technology. Cu thermo-compression bonding is studied for its feasibility as a permanent bond between active layers in a multi-layer stack. Silicon dioxide wafer bonding, on the other hand, is used as a temporary bond to attach a donor wafer to a handle wafer during donor wafer thinning and subsequent layer transfer. Sufficiently high bond strength is obtained with careful surface preparation and activation prior to bonding.
Silicon layer can be stacked either in a “face down” or “face up” orientation. Using a combination of wafer bonding and thinning, double-layer stacks in both orientations are fabricated. By repeating these steps on two “face down” double-layer stacks, a four-layer stack is successful demonstrated.
Low Temperature Copper-Nanorod Bonding for 3D Integration
- Pei-I Wang, Tansel Karabacak, Jian Yu, Hui-Feng Li, Gopal G. Pethuraja, Sang Hwui Lee, Michael Z. Liu, J.-Q. Lu, T.-M. Lu
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y04-07
-
- Article
- Export citation
-
Wafer bonding is an emerging technology for fabrication of complex three-dimensional (3D) structures; particularly it enables monolithic wafer-level 3D integration of high performance, multi-function microelectronic systems. For such a 3D integrated circuits, low-temperature wafer bonding is required to be compatible with the back-end-of-the-line processing conditions. Recently our investigation on surface melting characteristics of copper nanorod arrays showed that the threshold of the morphological changes of the nano-rod arrays occurs at a temperature significantly below the copper bulk melting point. With this unique property of the copper nanorod arrays, wafer bonding using copper nanorod arrays as a bonding intermediate layer was investigated at low temperatures (400 °C and lower). 200 mm Wafers, each with a copper nanorod array layer, were bonded at 200 – 400 °C and with a bonding down-force of 10 kN in a vacuum chamber. Bonding results were evaluated by razor blade test, mechanical grinding and polishing, and cross-section imaging using a focus ion beam/scanning electron microscope (FIB/SEM). The FIB/SEM images show that the copper nanorod arrays fused together accompanying by a grain growth at a bonding temperature of as low as 200 °C. A dense copper bonding layer was achieved at 400 °C where copper grains grew throughout the copper structure and the original bonding interface was eliminated. The sintering of such nanostructures depends not only on their feature size, but also significantly influenced by the bonding pressure. These two factors both contribute to the mass transport in the nanostructure, leading to the formation of a dense bonding layer.
Materials Aspects to Consider in the Fabrication of Through-Silicon Vias
- Susan Burkett, L. Schaper, T. Rowbotham, J. Patel, T. Lam, I. U. Abhulimen, D. D. Boyt, M. Gordon, L. Cai
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y06-01
-
- Article
- Export citation
-
The formation of vertical interconnects to create three-dimensional (3D) interconnects enables integration of dissimilar electronic material technologies. These vertical interconnects are metal filled blind vias etched in silicon and are formed by a series of processing steps that include: silicon etch; insulation/barrier/seed layer deposition; electroplating of Cu to fill the via; wafer grinding and thinning; and back side processing to form contacts. Deep reactive ion etching (DRIE) is used to etch silicon vias with attention given to process parameters that affect sidewall angle, sidewall roughness, and lateral etch growth at the top of the via. After etching, vias are insulated by depositing 0.5 μm of silicon dioxide by plasma enhanced chemical vapor deposition (PECVD) at 325°C. A barrier film of TaN is reactively sputtered after insulation deposition followed by a Cu sputtered seed film allowing electroplated Cu to fill the blind via. Reverse pulse plating is used to achieve bottom-up filling of the via. Once void-free electroplated vias are prepared, the process wafer is attached to a carrier wafer for silicon back grinding. Vias on the process wafer are “exposed” from the back side of the wafer with a combination of processes that include mechanical grinding, polishing, and reactive ion etching (RIE). Contact pads are then formed by conventional IC processes. Cu posts are used to connect the electronic devices and to address thermal management issues as well. This paper presents materials aspects to consider when fabricating through silicon vias (TSVs). Modeling of the Cu-filled vias to investigate thermal management schemes and Cu posts to investigate mechanical reliability is also presented.
Damascene-Patterned Metal-Adhesive (Cu-BCB) Redistribution Layers
- Ronald J. Gutmann, J. Jay McMahon, Jian-Qiang Lu
-
- Published online by Cambridge University Press:
- 26 February 2011, 0970-Y04-02
-
- Article
- Export citation
-
A monolithic, wafer-level three-dimensional (3D) technology platform is described that is compatible with next-generation wafer level packaging (WLP) processes. The platform combines the advantages of both (1) high bonding strength and adaptability to IC wafer topography variations with spin-on dielectric adhesive bonding and (2) process integration and via-area advantages of metal-metal bonding. A copper-benzocyclobutene (Cu-BCB) process is described that incorporates single-level damascene-patterned Cu vias with partially-cured BCB as the bonding adhesive layer. A demonstration vehicle consisting of a two-wafer stack of 2-4 μm diameter vias has shown the bondability of both Cu-to-Cu and BCB-to-BCB. Planarization conditions to achieve BCB-BCB bonding with low-resistance Cu-Cu contacts have been examined, with wafer-scale planarization requirements compared to other 3D platforms. Concerns about stress induced at the tantalum (Ta) liner-to-BCB interface resulting in partial delamination are discussed. While across-wafer uniformity has not been demonstrated, the viability of this WLP-compatible 3D platform has been shown.